邏輯電路設計方法范例6篇

前言:中文期刊網精心挑選了邏輯電路設計方法范文供你參考和學習,希望我們的參考范文能激發你的文章創作靈感,歡迎閱讀。

邏輯電路設計方法

邏輯電路設計方法范文1

【關鍵詞】傳輸門邏輯;CMOS門邏輯;NMOS管;PMOS管

1.引言

21世紀是信息科學的世紀,電子科學與技術是信息科學發展的基礎學科。半導體集成電路作為電子科學與技術的核心,是電子類相關專業的重要基礎課程。而半導體集成電路這門課程中,靜態邏輯電路[1-3]這一塊又是比較重要的一部分。靜態邏輯電路分為靜態CMOS邏輯電路和傳輸門邏輯電路。現有的絕大多數教材表明傳輸門邏輯電路的理解設計方法和靜態CMOS邏輯電路的理解設計方法是不一樣的。人們總結出了的一套設計靜態CMOS復合邏輯門電路的通用方法[3],其步驟如下:

(1)調整布爾代數式(也叫邏輯關系式),使得輸出為負邏輯。

(2)當邏輯關系式為“或”時,PMOS管串聯,NMOS管并聯。

(3)當邏輯關系式為“與”時,PMOS管并聯,NMOS管串聯。

(4)改變尺寸可調整速度或輸入閾值。而對于傳輸門邏輯[3],其理解和設計的方法是二叉判決圖BBD。這兩類方法不統一,給學生在理解和設計邏輯電路造成很大的麻煩。本論文提出一種能夠簡易地理解傳輸門邏輯和靜態CMOS門邏輯的方法。運用這種方法,學生也可以簡易地設計傳輸門和靜態CMOS邏輯電路。

2.方法

下面我們介紹一下這個方法。我們這個方法分為如下三個部分:

(1)對于單個NMOS管而言,漏極輸出C等于源極輸入A和柵極輸入B的“與”。邏輯表達式為。圖1顯示了單個NMOS管。根據NMOS管高電壓通、低電壓阻的特性,我們可以得到。

(2)對于單個PMOS管而言,漏極輸出C等于源極輸入A和柵極輸入B的“非”的“與”。邏輯表達式為。圖2顯示了單個PMOS管。根據PMOS管高電壓阻、低電壓通的特性,我們可以得到。

(3)對于兩個MOS并聯而言,總的輸出等于各個MOS輸出的“或”。我們以兩個NMOS管并聯說明這種情況。圖3顯示了兩個NMOS并聯的情形。我們可以得到:

3.結果和討論

(1)我們先用上述的方法來理解靜態CMOS邏輯電路和傳輸門邏輯電路。

首先看CMOS反相器,圖4顯示了CMOS反相器的示意圖。從圖4中我們可以看出對于輸出VOUT,PMOS管和NMOS管是并聯的關系。利用上述的方法,我們可以得到PMOS管和NMOS管的漏極分別為和,所以??梢钥闯鑫覀兊姆椒▽τ诶斫夂唵蔚腃MOS反相器邏輯是適合的。

我們再來理解一個復雜一點的兩輸入的異或門靜態CMOS邏輯電路。圖5顯示了靜態CMOS異或門邏輯電路示意圖。運用我們的方法,我們可以得出:對于P網,有、、、。對于N網,有、、、、。所以,??梢钥闯鑫覀兊姆椒軌蚝唵蔚睦斫廨^為復雜的兩輸入靜態CMOS異或門邏輯電路。我們有理由相信對于理解更為復雜的靜態CMOS邏輯電路,我們的方法同樣適用。

理解完了靜態CMOS邏輯電路,我們在來看看傳輸門邏輯電路。圖6顯示了一個基于CMOS傳輸門構成的同或門邏輯電路。運用我們的方法,我們可以得出:、、、、、、。因為前面我們已經理解了CMOS反相器,所以圖6中我們直接用反相器邏輯功能??梢钥闯鑫覀兊姆椒梢院芎唵蔚睦斫鈧鬏旈T邏輯電路。從圖6我們也可以看出,對與CMOS傳輸門,其邏輯功能跟隨NMOS傳輸門。

我們再來理解一個全加器中靜態的曼徹斯特進位電路。圖6顯示了全加器中靜態的曼徹斯特進位電路示意圖。運用我們的方法,可以得到:

、、、、??梢钥闯觯凑瘴覀兊姆椒?,正確的理解了全加器中靜態的曼徹斯特進位電路的邏輯表達式。

(2)運用我們的方法來設計靜態CMOS邏輯電路和傳輸門邏輯電路。

我們首先來設計一個靜態CMOS邏輯電路。設計靜態CMOS邏輯電路就是理解靜態CMOS邏輯電路逆過程。我們以邏輯表達式為例來設計靜態CMOS邏輯電路。參考上面講述的運用我們的方法理解靜態CMOS邏輯電路的過程可知,首先要將邏輯表達式寫成如下形式:

這一項是對應靜態CMOS電路的P網,而這一項是對應靜態CMOS電路的N網。觀察這兩項,反向運用我們的方法,可知在P網中下面是柵極輸入由C控制的PMOS管,再下面是并聯的兩個柵極信號分別由A和B控制的PMOS管。而在N網中,對應的是串聯的兩個柵極信號分別由A和B控制的NMOS管,這兩個串聯的NMOS管再與柵極信號由C控制的NMOS管并聯。由上述分析可知邏輯電路圖如圖7所示。

其次運用我們的方法設計一個靜態傳輸門電路。以異或門為例,其邏輯表達式為。運用我們的方法,得知一個兩輸入的“與”相使用一個MOS管,而“或”代表兩個MOS管并聯。如果使用兩個NMOS管并聯,電路圖如圖8所示。由圖8可知,使用NMOS管的話還要兩個反相器才能完全實現“異或”功能。如果使用兩個PMOS管并聯,電路圖將更為簡單,可以省略兩個反相器,電路圖如圖9所示。

在學習靜態傳輸門邏輯電路時,我們知道靜態傳輸門邏輯相比與靜態CMOS邏輯電路而言有一個優勢就是:輸入不同,邏輯功能也不同。實際上在這個優勢背后有個不變的本質,這個本質就是本教學論文提出的理解和設計靜態傳輸門和靜態CMOS邏輯電路的方法。

4.結論

本教學論文提出一種能簡易地理解和設計靜態傳輸門和靜態CMOS邏輯電路的方法。這種方法基于對NMOS管“高通低阻”和PMOS管“低通高阻”的電學特性的充分理解。我們的方法統一的靜態CMOS邏輯電路和靜態傳輸門邏輯電路,便于學生的理解和學習。

參考文獻

[1]張延慶,張開華,朱兆宗.半導體集成電路[M].上海:上??茖W技術出版社(第2版),1986.

[2]朱正涌,張海洋,朱元紅.半導體集成電路[M].北京:清華大學出版社(第2版),2009.

邏輯電路設計方法范文2

關鍵詞: 組合邏輯電路;火災報警;電路設計;仿真

中圖分類號:TP391 文獻標識碼:A 文章編號:1006-4311(2013)16-0063-02

0 引言

組合邏輯電路的基本構成單元是門電路,與時序邏輯電路不同,組合邏輯電路無記憶功能,輸出信號僅取決于當時的輸入信號[1]。組合邏輯電路的設計是根據給定的實際問題,用邏輯函數進行表達,用數字電路來實現邏輯其功能。常用的中規模組合邏輯電路有編碼器、譯碼器、數據選擇器、加法器等。

在設計硬件電路之前,常用一些虛擬軟件進行仿真設計,Multisim軟件是一款應用較廣,功能強大的電子電路設計開發與仿真軟件[2-5]。

文中,以集成與非門74LS00、譯碼器74LS138和數據選擇器74LS151為主要元件設計了產生火災報警控制信號的三種電路,設計平臺為基于windows系統的Multisim 12.0軟件,并進行了仿真測試。

1 電路設計與仿真

組合邏輯電路的設計步驟一般為:①根據設計要求,定義輸入、輸出的邏輯狀態;②填寫真值表;③由真值表,寫出邏輯函數的最小項表達式并進行化簡;④采用相應的元器件進行電路布線。

文中,火災報警系統的輸入為煙感、溫感和紫外光感三種火災探測器,當其中兩種或兩種以上探測器檢測到火災信號時,則系統發出火災報警信號。設煙感信號為A、溫感信號為B、紫外光感信號為C,報警信號為Y,當有信號時為1,無信號時為0,列出真值表,如表1所示。

1.1 基于與非門的電路設計 集成與非門74LS00有14個管腳,可以實現4個二端輸入與非邏輯功能,74LS10可以實現3個三端輸入與非邏輯功能。由公式(2)可見,此報警控制電路需要4個與非門,即三個二端輸入與非門,一個三端輸入與非門,電路連接如圖1所示,其中三個探測信號輸入端接入74LS00的三個二端輸入引腳,三個二端與非門的輸出接入74LS10的一個三端與非門輸入引腳。

圖1中的XLC1為邏輯變換器,是一種虛擬儀器,可以接入報警系統的輸入與輸出端,測試與驗證其邏輯功能,從圖2可見,該電路真值表及邏輯函數表達式與設計要求一致。

1.2 基于譯碼器的設計 譯碼是編碼的反過程,74LS138譯碼器是集成有三個輸入端,八個輸出端的中規模組合邏輯電路,譯碼器的各輸出端引腳信號對應于輸入端二進制信號的組合情況。

依公式(4)可知,只要將譯碼器對應的四個輸出端引腳接入74LS20芯片中的一個四輸入端與非門即可,電路如圖4所示。圖中,譯碼器74LS138的G1、~G2A與~G2B為控制端,當G1接高電平,~G2A與~G2B接低電平時,芯片才能實現譯碼功能。系統接入邏輯變換器,對整個電路的功能進行了測試,測試結果與圖2所示一致。

1.3 基于數據選擇器的設計 數據選擇器可以根據地址輸入端的二進制信號,對輸入端信號進行選擇。8選1數據選擇器74LS151是集成有三個地址輸入端A、B、C,8個數據輸入端D0~D7的中規模組合邏輯電路。74LS151數據選擇器的功能用邏輯函數表示為

這樣只要將數據選擇器的輸入端進行適當的置位便可以實現此報警功能,電路如圖4所示。

圖中,數據選擇器74LS151的~G為控制端,低電平有效,D3、D5、D6、D7接高電平,其余數據數據輸入端接低電平,地址輸入端A、B、C與數據選擇器的輸出Y端接入邏輯變換器,以驗證邏輯電路功能,驗證結果與圖2所示一致。

2 結論

組合邏輯電路廣泛應用于各種數字電路設計中,文中給出了用集成與非門74LS00、74LS10,中規模組合邏輯電路器件74LS138譯碼器、數據選擇器74LS151設計火災報警控制電路的三種方法,并用最新版本的Multisim軟件進行了直觀的仿真驗證。三種電路設計中,運用數據選擇器最為有效。Multisim軟件為組合邏輯電路的設計與仿真提供了強有力的計算機虛擬平臺。

參考文獻:

[1]王毓銀.數字電路邏輯設計[M].北京:高等教育出版社,2002.

[2]張晶,李心廣.基于multisim的電路設計與仿真[J].計算機仿真,2005,22(5):109-110.

[3]石嘉順.基于multisim環境下的電路設計與仿真[J].計算機仿真,2007,24(12):306-308.

邏輯電路設計方法范文3

【關鍵詞】數字電路;VHDL;教學改革;設計方法

1.引言

數字電路是理工科中的電類專業和計算機專業必修的專業基礎課程,也是信息類各專業的平臺課程。該課程在介紹有關數字系統基本知識、基本理論、基本電路的基礎上,重點討論數字系統中各種邏輯電路分析與設計的基本方法,以及該領域的發展現狀及最新的技術。設置該課程的主要目的是為了讓學生了解各種基本邏輯電路,能熟練地運用有關知識和理論對各類邏輯電路進行分析設計。目前, 大多數高等院校仍是采用傳統的數字電路教學模式, 以教材為中心,過于強調基本原理、公式的推導以及波形的分析,往往讓學生覺得抽象,不能夠很好地理解電路、集成芯片的功能及應用。而實驗環節主要在實驗箱上完成,開設的是一些驗證性的實驗,對各實驗項目的電路設計以手工為主,一般遵循自底向上的設計方法,從電路的功能分析,真值表、表達式、邏輯電路圖到器件的選擇、連線、測試等,學生的認識僅僅停留在局部小部件上,復雜的系統設計思想受到限制。在數字電子技術飛速發展的今天,大規模以及超大規模集成電路的廣泛應用,這種缺乏實用性和創新性的傳統教學模式,已不再適應現代應用型人才的培養。因此,教學需要融入新技術 、突破傳統教學模式,引入VHDL語言的數字電路教學改革就成為一個重要的研究課題。

2.VHDL語言及其特點

超高速集成電路硬件描述語言(VHDL) 是一種用于數字電路設計的高級語言,是被IEEE和美國國防部確認為標準的硬件描述語言,其主要用于描述數字電路的結構,行為,功能和接口?;谶@種描述結合相關的軟件工具,可以得到所期望的實際數字電路。利用VHDL語言進行電路設計具有以下幾個特點:

(1)VHDL可用于設計復雜的、多層次的設計,并且支持設計庫和設計的重復使用;

(2)與其他的硬件描述語言相比,VHDL具有更強的行為描述能力;

(3)VHDL有豐富的仿真語句和庫函數,使其在設計的早期就能查驗設計系統的功能可行性,借助于相關仿真器隨時可對設計進行仿真模擬;

(4)對于VHDL完成的一個確定的設計,一般可進行邏輯綜合和優化,并能自動的把VHDL描述設計轉變成門級網表;

(5)VHDL語言支持電路描述由高層向低層的綜合變換,便于文檔管理,易于理解和設計的再利用;

(6)VHDL對于設計的描述具有相對獨立性,設計者可以不懂硬件的結構,最終實現的目標器件設計。

3.VHDL語言較傳統設計方法的優點

通過上述特點,我們了解到VHDL語言功能強大、設計靈活、容易掌握。將VHDL語言引入數字電路教學中,有利于增強學生對電路設計的認識,掌握更多的設計方法,提高分析設計能力。本文針對六進制約翰遜計數器的設計,分別采用了傳統設計方法和VHDL方法進行設計,通過對比可得出,VHDL可以顯著提升數字電路的教學效果。

3.1 傳統設計方法

傳統電路設計采用自底向上的設計方法如圖1所示。本文選用JK、D觸發器及門電路來實現,采用3個觸發器連接產生8個狀態,六進制約翰遜計數器只有6個狀態,將其中的010,011兩個狀態禁止掉,具體狀態轉換表如表1所示。

圖1 自底向上設計方法

表1 狀態轉換表

CLK Q2n'Q1n'Q0n Q2n+1'Q1n+1'Q0n+1

1 0..0..0 0...0...1

2 0..0..1 0...1...1

3 0..1..1 1...1...1

4 1..1..1 1...1...0

5 1..1..0 1...0...0

6 1..0..0 0...0...0

由狀態轉換表得出狀態方程:

,,

將Q2,Q1選用D觸發器,Q0選用JK觸發器,得出驅動方程:

,,,

根據驅動方程最終畫出邏輯原理圖如圖2所示。

圖2 邏輯原理圖

在得到邏輯原路圖后,還需要進行邏輯驗證,驗證無誤后再對邏輯原理圖進行邏輯驗證無誤后,在PCB版上完成布線、裝配、焊接及調試,如有問題,再進行局部修改,直至整個電路調試完畢為止。

圖3 自頂向下設計方法

3.2 VHDL設計方法

VHDL設計采用自頂向下的設計方法如圖3所示。首先根據設計要求對電路功能進行行為級描述和仿真,然后再進行RTL級描述和仿真,達到預期結果后再進行邏輯綜合、布局布線,最終完成電路設計。

(1)行為描述,也就是對計數器數學模型的描述,通過代碼描述出輸入、輸出引腳和計數過程中狀態變化時序及關系,具體程序如下:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

entity counter6 is

port(clk,reset:in std_logic;

count_out:out std_logic_vector(2 downto 0));

end counter6;

architecture rtl Of counter6 is

signal next_count:std_logic_vector(2 downto 0);

begin count_proc:process(clk,reset)

begin if reset='0' then

next_count<="000";

elsif clk'event and clk='1' then

case next_count is

when "000"=>next_count<="001";

when "001"=>next_count<="011";

when "011"=>next_count<="111";

when "111"=>next_count<="110";

when "110"=>next_count<="100";

when "100"=>next_count<="000";

when others=>next_count<="000";

end case;

end if;

count_out<=next_count;

end process;

end rtl;

利用Max+plusⅡ軟件對上述程序進行編譯、仿真,仿真結果如圖4所示,結果表明,該方案符合設計要求。

圖4 仿真結果

(2)RTL描述,即用具體門電路、運算器等來描述行為部分。行為描述程序抽象程度較高,故需轉化為RTL方式描述的VDHL程序,以便于映射到具體的邏輯元件,得到硬件的具體實現。對于改寫后的RTL程序同樣需要進行仿真,檢查正確性。

(3)邏輯綜合,利用MAX+PLUS II Advanced Synthsis ALtera將其轉換為門級網絡表,輸出邏輯原理圖并進行仿真、檢查定時關系。最后根據需要利用門級網表做出ASIC芯片或生成FPGA碼點,完成電路設計。

3.3 VHDL與傳統設計方法比較

相較于傳統設計方法,VHDL采用自頂向下的設計方法,可進行結構化、模塊化設計,更利于分工合作,再加上各層次的仿真檢查,便于早期發現錯誤并改正,提高了設計效率;同時設計描述的相對獨立性,使得學生設計時不必寫表達式、真值表,不必考慮所用器件,降低了設計難度;另外VHDL語言簡單易學,MAX+PLUS II界面友好,通過仿真波形分析,學生能更形象、更深刻的理解所學內容。

4.結束語

數字電路作為專業基礎課程,其教學效果的好壞,將直接影響后續相關專業課程的學習。在數字電路教學中引入VHDL描述語言,利用MAX+PLUS II進行編譯、仿真、演示,不但豐富了教學內容,改進了教學手段,提高學習興趣,還有助于學生消除“抽象感”;另外VHDL能將傳統教學中較難實現的電路設計轉換為軟件設計,不僅簡化了設計工作,還有利于增強學生對集成芯片的認識,提高分析設計能力,掌握更多的設計方法,以適應現代應用型人才培養要求。

參考文獻

[1]張天瑜.基于VHDL的數字電路課程改革研究[J].湖北廣播電視大學學報:2010(02):25-26.

[2]黃紅霞.基于VHDL提升數字電路教學效果的研究[J].黃石理工學院學報,2010(03):66-70.

[3]譚勇,朱斌.基于EDA技術的數字電路實驗教學改革[J].中國現代教育裝備,2012(17):43-44.

邏輯電路設計方法范文4

【關鍵詞】數字電路課程;實踐平臺;工程設計;實驗

1概述

在教學過程中,具備數字系統設計實踐工程能力,涉及相關數字系統課程體系教學與實踐,在各高校的電氣、電子信息類專業中,數字電路是一門專業基礎課程,隨著數字技術應用領域的不斷擴大,在后續專業課程中,顯而易見,隨著電子產品數字化部分比重增大,它在數字系統設計中基礎性地位越來越突出。

因此,培養適合現代電氣、電子、信息技術發展的卓越人才,創新數字電路的課程幾次理論與工程實踐教學迫在眉睫。

根據我校近幾年電氣、電子課堂教學的實踐情況,數字電路課程應該以面向應用的數字電路設計為核心,在熟練掌握基本電路教學內容的基礎上引入先進的數字系統設計方法的課程教學和實踐內容。

工程實踐過程中,逐步從自底向上的設計方法逐步轉變到自頂向下的設計方法中來,以教師科研應用來拓展,以全面培養優秀數字設計卓越技術人才[1]。

2探索構建數字電路教學中的多層次的創新實踐平臺

2.1多層次的數字電路創新實驗平臺構思。

面向卓越人才培養的數字電路課程創新實踐教學,可以分層次進行在各個教學階段逐步推進,包括:面向基礎的數字設計的基本原理與工程創新實驗教學模塊、面向應用的數字電路課程設計教學和結合科研項目的創新實踐平臺[2][6]。

多層次的數字電路創新實驗平臺架構如圖1所示。

2.2數字設計的基礎原理與實驗教學。

數字電路基礎原理和實驗教學是數字系統設計的課程體系的基礎入門階段,是培養數字邏輯代數與邏輯電路的重要過程,大類可分為時序邏輯電路和組合邏輯電路,其中時序邏輯電路主要包括:鎖存器、觸發器和計數器,組合邏輯電路包括,編譯碼器、多路復用器、比較器、加(減)法器、數值比較器和算術邏輯單元等。教學的目的是訓練學生掌握組合和時序邏輯電路堅實理論基礎,使學生掌握數字電路的基本概念、基本電路、基本分析方法和基本實驗技能,不但要注重基本數字電路與系統設計理論的理解,同時讓學生在學習中逐步了解面向應用和現代科技進步數字電路新的設計理念[2][3]。

2.3面向應用的數字電路課程設計實踐教學。

隨著電子設計自動化技術(EDA)和可編程器件(CPLD)的不斷發展和應用,以EDA技術為主導的數字系統理念已經成為企業工程技術的核心。數字電路課程設計主要培養學生利用中小規模數字集成電路器件和大規??删幊唐骷M行數字電路設計和開發能力。在卓越工程師培養背景下,結合前階段數字電路課程理論教學和實驗教學的實際情況及EDA技術的發展狀況,適時進行數字電路課程設計和EDA技術課程的綜合銜接,以及課程深度融合[4]。主要內容包括:

2.3.1基于Multisim等相關軟件的數字系統仿真實驗??梢詷嫿ㄌ摂M數字實驗系統,不但較好地模擬實物外觀外,還可以利用系統提供的實驗平臺開展實驗的設計、仿真,進行實驗內容的邏輯驗證。

2.3.2基于通用和專用數字芯片的數字系統設計。其主要特點是有很好的直觀性和具體性。

2.3.3基于硬件描述語言(HDL)的數學系統硬件描述。采用硬件描述語言實現數字邏輯設計,基于EDA環境仿真和驗證??梢越Y合上述(1)和(2)的優點,采用硬件設計軟件化技術應用于數字電路課程設計的實驗教學中,通過綜合性實驗的自行設計和實驗,對實驗內容、實驗規模、實驗方法進行了綜合創新設計[5]。

2.4結合科研項目的數字設計實驗創新平臺。

在高等院校,教師即承擔教學任務,同時有各自的科學研究方向,同學們可以根據自己的研究興趣,加入教師的科研團隊,形成教學與科研互利的良性循環。面向卓越工程師培養的數字系統設計,可以借助橫向或縱向科研項目形成綜合教學體系。比如:搭建在線可編程門陣列(FPGA)創新實驗平臺,形成數字電路、電路線路課程設計、可編程邏輯器件以及集成芯片系統設計,形成面向數字系統設計的課程體系[3]。同時,應用高校與知名企業建立的校企合作平臺,把企業界的研究信息和研發需求引入到教學平臺,開拓了學生的研究思路和視野,提升了學生設計復雜數字系統的能力;目前,我校正在與國際知名的半導體公司Xilinx、Altera和Cypress陸續建立卓越人才大學培養計劃,利用大學設置小學期,在FPGA和PSoC開發平臺上進行了面向實際應用的數字系統設計,在實踐平臺上不僅有學校的任課教師,還有知名企業派來的一線工程師指導同學們的實踐,相比改革前,取得很好的實踐效果,同學們的數字系統設計水平得到了提高,同時在編程、接口、通信協議等方面也有了深刻的認識。

對于優秀的學生,借助全國各種形式的大學生電子(信息)設計競賽這個創新平臺,組織他們積極參與,激發他們的學習研究興趣和創新意識,綜合所應用的數字系統設計知識,發揮競賽團隊的協作精神。每年,我們都有部分優秀學生通過努力,創新設計的作品獲得專業認可,并取得了良好的參賽成績,也使得數字設計課程體系的建設上了一個新的臺階。

3基于創新平臺的課程體系優化與實踐

卓越工程師培養要求的數字電路系統設計課程體系協調好相關電氣、電子類專業上下游相關理論課程、實驗綜合性設計同時得到協調發展。如何實踐論文所提到的創新實驗平臺,應該引進現代數字設計理念,重點把EDA軟件、設計工具、開發平臺與傳統的數字電路基礎理論教學相銜接。我們在這幾年對數字系統設計課程體系、創新實踐教學內容等方面的進行了改革與探索,取得了一定的成效。經過這幾年的實踐,我們逐步構建了面向應用的數字系統設計課程優化體系[5],如圖2所示。

4不斷探索數字電路理論教學內容的改革與實踐

4.1以數字電路設計為目的強化基本邏輯電路理論教學。

在進行復雜數字系統設計之前應該熟練掌握這些常用基本組合和時序邏輯電路,包括電路的功能、電路的描述以及電路的應用場合等。

樹立電路設計思想首先需要熟練掌握一些基本的邏輯功能電路。其次,樹立電路設計思想需要理論講解與實踐相結合,逐步熟悉硬件描述語言的描述方式。數字系統設計強調采用硬件描述語言來對電路與系統進行描述、建模、仿真等[2][3]。

4.2掌握面向應用的數字系統工程設計方法。

學生在掌握數字電路基本概念和一般電路的基礎上,進一步掌握數字系統設計的方法、途徑和手段。其主要內容包括:數字系統與EDA的相關概念、可編程邏輯器件、硬件描述語言、電路元件的描述、數字系統的設計方法、開發環境與實驗開發平臺以及應用實例的介紹等。這些課程內容涉及面較廣,為了提高教與學的效果,探索總結了以下的教學重點內容,并作為教學實踐中的教學切入點[1]。

隨著電子技術不斷發展與進步,現代數字系統設計在方法、對象、規模等方面已經完全不同于傳統的基于固定功能的集成電路設計[1][2]?,F代數字系統設計采用硬件描述語言(HDL)描述電路,用可編程邏輯器件(PLD)來實現高達千萬門的目標系統。這一過程需要也應該有先進的設計方法。根據硬件描述語言的特性和可編程邏輯器件的結構特點以及應用的需要,在教學過程中闡述了先進設計方法。例如:采用基于狀態機的設計方法設計復雜的控制器(時序電路),應用或設計鎖相環或延時鎖相環來處理時鐘信號,應用自行設計(IPcore)軟核來提高數據吞吐量[1][2][3]。

4.3深化數字電路實驗教學改革。

實驗實踐教學過程中,注重基礎訓練與實踐創新相結合的實驗教學改革思路,加強學生工程思維訓練、新平臺工具的使用、遇到邏輯問題的綜合分析能力,理論與實踐相結合的分析能力。在實踐過程中的提高創新性和綜合性能力,面向應用的數字電路創新平臺建設,需要不斷提高課程試驗、實驗和實踐過程在教學中的比例,在符合認知規律的同時,逐步加強來源與實際需要的綜合性數字設計實驗。

5結語

數字電路是電氣、電子信息類專業的一門重要的專業基礎課程,論文針對當今卓越工程師培養的要求,以及在教學過程中遇到的主要問題,探討了面向應用的數字電路課程創新實踐平臺。提出了多層次的數字電路創新實驗平臺結構和面向應用的數字系統設計課程優化體系。目的在于,通過課程及相關課程體系改革與創新,使得學生更快、更好的適應現代數字技術發展的需求。

參考文獻

[1]孔德明.《數字系統設計》課程教學重點的探討,科技創新導報,2012.1,173-174.

[2]任愛鋒,孫萬蓉,石光明.EDA實驗與數字電路相結合的教學模式的實踐,實驗技術與管理,2009.4,200-202.

[3]葉波,趙謙,林麗萍.FPGA課程教學改革探索,中國電力教育,2010,24,130-131.

[4]秦進平,劉海成,張凌志等.電類專業數字系統綜合實驗平臺研制,實驗技術與管理,2012.6,75-78.

邏輯電路設計方法范文5

【關鍵詞】EDA技術;QuartusⅡ;電子設計;VHDL

1.引言

集成電路設計不斷向超大規模、低功率、超高速方向發展,其核心技術是基于EDA技術的現代電子設計技術。EDA(Electronic Design Automation,電子設計自動化)技術,以集成電路設計為目標,以可編程邏輯器件(如CPLD、FPGA)為載體,以硬件描述語言(VHDL、VerilogHDL)為設計語言,以EDA軟件工具為開發環境,利用強大計算機技術來輔助人們自動完成邏輯化和仿真測試,直到既定的電子產品的設計完成。其融合了,大規模集成電路制造技術、計算機技術、智能化技術,可以進行電子電路設計、仿真,PCB設計,CPLD/FPGA設計等。簡言之,EDA技術可概括為在開發軟件(本文用QuartusⅡ)環境里,用硬件描述語言對電路進行描述,然后經過編譯、仿真、修改環節后,最終下載到設計載體(CPLD、FPGA)中,從而完成電路設計的新技術。

以EDA技術為核心的現代電子設計方法和傳統的電子設計方法相比有很大的優點,兩種設計方法的流程如下圖:

圖1 傳統電子設計流程圖

圖2 基于EDA的現代電子設計流程圖

比較兩種設計方法,基于EDA技術的現在電子設計方法采用自上而下的設計方法,系統設計的早期便可進行逐層仿真和修改,借助計算機平臺,降低了電路設計和測試的難度,極大程度地縮短了電子產品的設計周期、節約了電子產品的設計成本。DEA技術極大的促進了現代電子技術的發展,已成為現代電子技術的核心。

2.QuartusⅡ軟件開發環境介紹

QuartusⅡ軟件是Alter公司開發的綜合性EDA工具軟件,提供了強大的電子設計功能,充分發揮了FPGA、CPLD和結構化ASIC的效率和性能,包含自有的綜合器及仿真器,支持原理圖、VHDL、VerilogHDL等多種設計輸入,把設計、布局布線和驗證功能以及第三方EDA工具無縫的集成在一起。QuartusⅡ與Alter公司的上一代設計工具MAX+plusⅡ具有一定的相似性,和繼承性。使熟悉MAX+plusⅡ開發環境的設計人員可以快速熟練應用。相比之下,QuartusⅡ軟件功能更為強大、設計電路更為便捷,支持的器件更多。增強了自動化程度,縮短了編譯時間,提升了調試效率。從而縮短了電子產品的設計周期。利用QuartusⅡ軟件進行電子電路設計流程如圖3所示。

圖3 QuartusⅡ設計流程圖

3.在QuartusⅡ環境下的EDA方法設計實例

下面本文在QuartusⅡ環境下,以下降沿D觸發器的設計為例來說明基于EDA技術的現代電子設計方法(本文以QuartusⅡ9.0為例)。

3.1 在計算機上安裝QuartusⅡ9.0版本軟件

QuartusⅡ9.0對計算機硬件配置要求不高,現階段的主流配置完全可以滿足其要求。QuartusⅡ9.0安裝過程很簡單,按照提示操作即可。

3.2 D觸發器功能分析

從D觸發器真值表可以看出,當時鐘信號clk不論是高電平還是低電平,其輸出q的狀態都保持不變,當時鐘信號clk由高電平變為低電平時,輸出信號q和輸入信號d的狀態相同。

表1 D觸發器真值表

輸入d 時鐘clk 輸出q

× 0 不變

× 1 不變

0 下降沿 0

1 下降沿 1

3.3 D觸發器的VHDL描述設計

下面給出D觸發器的VHDL描述:

library ieee;

use ieee.std_logic_1164.all;

entity dff1 is

port(d,clk:in std_logic;

q:out std_logic);

end dff1;

architecture bhv of dff1 is

begin

process(clk)

begin

if clk='1' then

q<=d;

end if;

end process;

end bhv;

上面程序在QuartusⅡ9.0環境下,經保存后進行編譯,然后可進行波形仿真。

3.4 設計仿真

VHDL描述程序編譯后,建立矢量波形文件,之后可以進行波形仿真,得到如下波形仿真圖(如圖4所示):

圖4 D觸發器仿真波形圖

此仿真波形符合D觸發器真值表,說明電路設計正確。如果波形仿真不符合真值表,說明電路設計有問題,此時可以回到3.3步驟修改VHDL描述程序,直至仿真結果正確為止。

波形仿真正確后,可得出相應的邏輯電路圖,D觸發器電路圖(如圖5所示)如下:

圖5 D觸發器邏輯電路圖

3.5 配置下載測試

整個電路設計、編譯仿真無誤后,按照FPGA開發板說明書進行引腳鎖定,重新進行編譯后,然后通過下載電纜線,將產生的sof文件下載至FPGA中,對電路進行測試、驗證,完成電路的最終設計。

4.結束語

本文以QuartusⅡ開發環境下的實際電路設計為例,介紹了基于EDA技術的現代電子設計方法。通過設計過程可知,DEA技術在現代電子電路設計中的重要性。在電子技術飛速發展的信息時代,EDA技術也在不斷發展。電子產品設計者有必要熟練掌握硬件描述語言、可編程邏輯器件以及各種主流軟件開發環境,這樣才可以在最短的時間內完成高質量的電子產品設計任務。

參考文獻

[1]閻石.數字電子技術基礎[M].北京:高等教育出版社(第五版),2006.

[2]劉江海.EDA技術[M].武漢:華中科技大學出版社,2009.

邏輯電路設計方法范文6

“數字電路”是電氣類專業的一門重要專業基礎課程,也是電子類專業課程學習的基石。學生對該課程的學習效果,直接影響著他們的專業課程學習,甚至影響到學生在電子電路設計方面的動手操作能力和實踐創新能力的培養。“數字電路”是一門實踐性很強的課程,學生要想學好這門課程,實踐是至關重要的環節[1]。為提高“數字電路”實踐教學效果,分析了傳統的實踐教學存在的問題,并進行了大膽的實踐教學改革,取得了很好的成效。

1 傳統實踐教學存在的主要問題

1.1 傳統的實踐教學以教師講授為主

傳統的實踐教學通常是以教師講授為主。首先教師認真分析實驗原理,然后逐步講解演示,學生跟著老師的節奏一步一步地完成實驗內容。學生做實驗前根本不需要課前準備,只要在課堂認真聽老師講解,緊跟老師步伐完成每一步操作,就可以到達預定的實驗效果。甚至有些實踐教學中,老師從頭至尾為學生演示實驗,基本沒有留給學生獨立思考的時間和空間,也沒有給學生獨自將所學的理論知識應用于實踐的機會。這種“教師演示,學生觀摩”的實踐教學模式導致學生養成一種被動學習的學習態度,他們認為實驗課就是驗證所學的知識,教師給出實驗內容和演示,學生只要照做就可以了,最后還將這種學習方法帶入到專業課程的學習階段。因此,傳統的實踐教學模式不利于學生發散思維和實踐創新能力的培養,完全達不到學生職業化教育的培養目標。

1.2 傳統的實驗項目缺乏學習趣味性

傳統的實驗項目一般以普通的門電路設計、組合邏輯電路設計、時序邏輯電路設計為主。這些實驗項目往往缺乏實際生活應用背景,內容也顯得單一,學生在學習過程容易產生疑惑,不知道所學有何用,逐漸失去學習興趣。因此,教師在實驗項目的設置上應該適當地考慮實際應用背景,比如涉及到某一生活需要或工程應用,讓學生感受到自己所學知識有所用,從而激發他們的學習情趣和動力。

2 “數字電路”開放式實踐教學的意義

2.1 以學生為主體,培養學生實踐能力

采用開放式實踐教學,以學生為主體,教師為主導,學生在整個實踐教學中居主體地位。教師提出設計要求,學生自行分析設計思路,查找資料,制定設計方案,最后根據所學的知識完成實驗設計任務。整個過程中,教師必須全程跟蹤,當學生遇到疑問或困難時,應給與引導和幫助。學生在老師的引導下還可以發散思維,追求創新,開展一些拓展性的任務設計。這樣才能從真正意義達到培養學生獨立思考、動手操作和實踐創新的能力。

2.2 加強學生間的溝通,創造開放平等的交流平臺

濃厚的學術氛圍和開放平等的交流平臺是激發學習情趣和促進學習進步的重要條件。學生可以在良好的學習環境中相互交流、相互競爭和合作。有利于他們在學習過程中發現問題和解決問題,有利于進一步激發學生的求知欲和創新潛能[2]。因此,創建開放性電子設計實驗室,建立高標準實踐平臺,為學生提供一個良好的實踐環境尤為重要。

2.3 營造正能量的學習風氣

在開放式實踐教學過程中,教師應鼓勵學生獨立思考問題,積極交流經驗,利用所學知識去解決生活中的實際問題[3]。鼓勵學生按照自己思維方式去完成電路設計,尋找學習興趣,將“被動式”學習逐漸轉變為“主動式”學習,營造正能量的學習風氣。

3 開放式教學在“數字電路”實踐教學的實施

3.1 硬件平臺

實踐課開設前,教師應根據設置的實驗項目內容準備好一些必需的實驗素材,比如相關的集成芯片、SYB-500面包板、USB信號線、數碼管、發光二極管和電纜線等。然后將它們發放給學生,學生可以根據實驗項目的任務要求,在不限于實踐課的條件下利用課余時間在開放式電子電路設計實驗室進行電路設計,做好提前預習和調試,為實踐課做準備。課后學生還可以在實驗室對自己的實驗項目做進一步的完善或拓展設計,加深對所學知識的印象,提高自己的學習水平和專業技能。

3.2 項目設置

為提高學生學習興趣,項目的設置一定要緊密圍繞實際應用和實踐創新,能夠解決生活中的一些實際問題。項目的來源最好具有較高應用背景的產品制造、工程應用和科研課題,另外增加必要的電路板制作和硬件驗證,可以激發學生的學習興趣,還能真正達到培養學生職業技能的教學效果。通過改革,學校對原來普通的門電路設計、組合邏輯電路設計、時序邏輯電路設計等項目進行了調整,調整結果見表1所示。從表1可以看出,7個實驗項目在日常生活都具有較高的應用背景和應用價值。

3.3 典型實踐案例

利用SSI器件設計一個表決電路。首先階梯式地安排兩個設計任務,第一個任務是完成舉重裁判表決電路德爾設計,舉重比賽有3個裁判,一個主裁判和兩個副裁判,當兩個裁判(其中有一個為主裁判)或兩個以上裁判判舉重成功時,才表示舉重成功。第二個任務是完成一個4人表決電路的設計,4人當中有1位主裁、3位副裁,主裁認可計兩分,副裁認可計1分,只有當分數之和超過2分時才表示通過。學生可根據自身實際情況進行任務選擇。

表決電路在實際生活中應用很廣泛,比如一些選秀節目經常出現的一些資深導師對選手進行表決投票場景。學生對這一場景很熟悉,投票規則容易理解,這樣一來就會帶著一種好奇心進行電路設計。學生首先分析舉重裁判表決電路的因果關系,確定輸入變量和輸出變量,并且進行邏輯賦值,然后畫出真值表,利用卡諾圖化簡,寫出最簡與或邏輯表達式Y=AB+AC。有些學生就利用74LS08與門和74LS32或門實現;有些學生將最簡式進行變型Y=((AB)'(AC)')',然后用74LS00與非門實現;甚至有些學生將邏輯表達式簡化成或非-或非的形式Y=(A'+(B+C)')',用74LS02或非門實現。在舉重裁判表決電路設計過程中,老師不需要仔細講解每一個設計步驟,只需要在學生的身邊進行引導和啟發。學生根據自己所掌握的理論知識,主動求索,自主完成表決器的設計。在大部分學生完成第一個設計任務后,嘗試到了成功的喜悅,便不由自主地進入第二個難度稍大點的4人表決器的設計。通過這兩個電路的設計,學生基本可以掌握利用小規模集成芯片完成組合邏輯電路設計的方法。

學生的理論水平參差不齊,對待問題的思考方式也各異千秋。在實踐教學過程中,不管學生采用何種邏輯方法,只要能夠實現表決器的邏輯功能,都應該給予充分的肯定。只要學生進行了獨立思考,盡管電路設計不夠完善,也應該得到老師的表揚和鼓勵,這樣才能提高學生的學習興趣和積極性,才能有利于培養學生獨立思考和實踐創新的能力。

亚洲精品一二三区-久久